Journal Home > Volume 27 , Issue 3

The rapid growth of the Internet of Things (IoTs) has resulted in an explosive increase in data, and thus has raised new challenges for data processing units. Edge computing, which settles signal processing and computing tasks at the edge of networks rather than uploading data to the cloud, can reduce the amount of data for transmission and is a promising solution to address the challenges. One of the potential candidates for edge computing is a memristor, an emerging nonvolatile memory device that has the capability of in-memory computing. In this article, from the perspective of edge computing, we review recent progress on memristor-based signal processing methods, especially on the aspects of signal preprocessing and feature extraction. Then, we describe memristor-based signal classification and regression, and end-to-end signal processing. In all these applications, memristors serve as critical accelerators to greatly improve the overall system performance, such as power efficiency and processing speed. Finally, we discuss existing challenges and future outlooks for memristor-based signal processing systems.


menu
Abstract
Full text
Outline
About this article

Memristor-Based Signal Processing for Edge Computing

Show Author's information Han ZhaoZhengwu LiuJianshi Tang( )Bin GaoYufeng ZhangHe QianHuaqiang Wu( )
School of Integrated Circuits, Beijing National Research Center for Information Science and Technology, Tsinghua University, Beijing 100084, China
Department of Microelectronics Science and Technology, Harbin Institute of Technology, Harbin 150001, China
Beijing Innovation Center for Future Chips, Tsinghua University, Beijing 100084, China

Abstract

The rapid growth of the Internet of Things (IoTs) has resulted in an explosive increase in data, and thus has raised new challenges for data processing units. Edge computing, which settles signal processing and computing tasks at the edge of networks rather than uploading data to the cloud, can reduce the amount of data for transmission and is a promising solution to address the challenges. One of the potential candidates for edge computing is a memristor, an emerging nonvolatile memory device that has the capability of in-memory computing. In this article, from the perspective of edge computing, we review recent progress on memristor-based signal processing methods, especially on the aspects of signal preprocessing and feature extraction. Then, we describe memristor-based signal classification and regression, and end-to-end signal processing. In all these applications, memristors serve as critical accelerators to greatly improve the overall system performance, such as power efficiency and processing speed. Finally, we discuss existing challenges and future outlooks for memristor-based signal processing systems.

Keywords: edge computing, Internet of Things (IoTs), memristor, signal processing, in-memory computing

References(101)

[1]
D. Wei, H. Ning, F. Shi, Y. Wan, J. Xu, S. Yang, and L. Zhu, Dataflow management in the internet of things: Sensing, control, and security, Tsinghua Science and Technology, vol. 26, no. 6, pp. 918-930, 2021.
[2]
W. S. Shi, J. Cao, Q. Zhang, Y. Li, and L. Y. Xu, Edge computing: Vision and challenges, IEEE Int. Things J., vol. 3, no. 5, pp. 637-646, 2016.
[3]
Q. F. Xia and J. J. Yang, Memristive crossbar arrays for brain-inspired computing, Nat. Mater., vol. 18, no. 4, pp. 309-323, 2019.
[4]
S. Ambrogio, P. Narayanan, H. Tsai, R. M. Shelby, I. Boybat, C. Di Nolfo, S. Sidler, M. Giordano, M. Bodini, N. C. P. Farinha, et al., Equivalent-accuracy accelerated neural-network training using analogue memory, Nature, vol. 558, no. 7708, pp. 60-67, 2018.
[5]
C. Li, M. Hu, Y. N. Li, H. Jiang, N. Ge, E. Montgomery, J. M. Zhang, W. H. Song, N. Dávila, C. E. Graves, et al., Analogue signal and image processing with large memristor crossbars, Nat. Electr., vol. 1, no. 1, pp. 52-59, 2018.
[6]
R. Waser and M. Aono, Nanoionics-based resistive switching memories, Nat. Mater., vol. 6, no. 11, pp. 833-840, 2007.
[7]
S. Raoux, W. Welnic, and D. Ielmini, Phase change materials and their application to nonvolatile memories, Chem. Rev., vol. 110, no. 1, pp. 240-267, 2010.
[8]
A. D. Kent and D. C. Worledge, A new spin on magnetic memories, Nat. Nanotechnol., vol. 10, no. 3, pp. 187-191, 2015.
[9]
A. Chanthbouala, V. Garcia, R. O. Cherifi, K. Bouzehouane, S. Fusil, X. Moya, S. Xavier, H. Yamada, C. Deranlot, N. D. Mathur, et al., A ferroelectric memristor, Nat. Mater., vol. 11, no. 10, pp. 860-864, 2012.
[10]
C. H. Ho, C. L. Hsu, C. C. Chen, J. T. Liu, C. S. Wu, C. C. Huang, C. M. Hu, and F. L. Yang, 9 nm half-pitch functional resistive memory cell with <1μA programming current using thermally oxidized sub-stoichiometric WOx film, in Proc. 2010 Int. Electron Devices Meeting, San Francisco, CA, USA, 2010, pp. 19.1.1-19.1.4.
[11]
B. Govoreanu, G. S. Kar, Y. Chen, V. Paraschiv, S. Kubicek, A. Fantini, I. P. Radu, L. Goux, S. Clima, R. Degraeve, et al., 10×10 nm2 Hf/HfOx crossbar resistive ram with excellent performance, reliability and low-energy operation, in Proc. 2011 Int. Electron Devices Meeting, Washington, DC, USA, 2011, pp. 31.6.1-31.6.4.
[12]
A. Redolfi, L. Goux, N. Jossart, F. Yamashita, E. Nishimura, D. Urayama, K. Fujimoto, T. Witters, F. Lazzarino, and M. Jurczak, A novel cbram integration using subtractive dry-etching process of cu enabling high-performance memory scaling down to 10nm node, in Proc. 2015 Sympo. VLSI Technology (VLSI Technology), Kyoto, Japan, 2015, pp. T134&T135.
DOI
[13]
N. Banno, M. Tada, T. Sakamoto, M. Miyamura, K. Okamoto, N. Iguchi, T. Nohisa, and H. Hada, A fast and low-voltage cu complementary-atom-switch 1mb array with high-temperature retention, in Proc. 2014 Symp. VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, USA, 2014, pp. 1&2.
DOI
[14]
B. Govoreanu, L. Di Piazza, J. Ma, T. Conard, A. Vanleenhove, A. Belmonte, D. Radisic, M. Popovici, A. Velea, A. Redolfi, et al., Advanced a—VMCO resistive switching memory through inner interface engineering withwide ( >102) on/off window, tunable μA-range switching current and excellent variability, in Proc. 2016 IEEE Symp. VLSI Technology, Honolulu, HI, USA, 2016, pp. 1-2.
DOI
[15]
K. Tsunoda, K. Kinoshita, H. Noshiro, Y. Yamazaki, T. Iizuka, Y. Ito, A. Takahashi, A. Okano, Y. Sato, T. Fukano, et al., Low power and high speed switching of Ti-doped NiO ReRAM under the unipolar voltage source of less than 3 V, in Proc. 2007 IEEE Int. Electron Devices Meeting, Washington, DC, USA, 2007, pp. 767-770.
DOI
[16]
C. H. Cheng, C. Y. Tsai, A. Chin, and F. S. Yeh, High performance ultra-low energy rram with good retention and endurance, in Proc. 2010 Int. Electron Devices Meeting, San Francisco, CA, USA, 2010, pp. 19.4.1-19.4.4.
[17]
L. Goux, A. Fantini, G. Kar, Y. Y. Chen, N. Jossart, R. Degraeve, S. Clima, B. Govoreanu, G. Lorenzo, G. Pourtois, et al., Ultralow sub-500nA operating current high-performance TiN\Al2O3\HfO2\Hf\TiN bipolar RRAM achieved through understanding-based stack-engineering, in Proc. 2012 Symp. VLSI Technology (VLSIT), Honolulu, HI, USA, 2012, pp. 159-160.
DOI
[18]
B. Govoreanu, A. Redolfi, L. Zhang, C. Adelmann, M. Popovici, S. Clima, H. Hody, V. Paraschiv, I. P. Radu, A. Franquet, et al., Vacancy-modulated conductive oxide resistive ram (VMCO-RRAM): An area-scalable switching current, self-compliant, highly nonlinear and wide on/off-window resistive switching cell, in Proc. 2013 IEEE Int. Electron Devices Meeting, Washington, DC, USA, 2013, pp. 10.2.1-10.2.4.
DOI
[19]
Q. Luo, X. X. Xu, H. T. Liu, H. B. Lv, T. C. Gong, S. B. Long, Q. Liu, H. T. Sun, W. Banerjee, L. Li, et al., Demonstration of 3D vertical RRAM with ultra low-leakage, high-selectivity and self-compliance memory cells, in Proc. 2015 IEEE Int. Electron Devices Meeting (IEDM), Washington, DC, USA, 2015, pp. 10.2.1-10.2.4.
DOI
[20]
E. Vianello, O. Thomas, G. Molas, O. Turkyilmaz, N. Jovanović, D. Garbin, G. Palma, M. Alayan, C. Nguyen, J. Coignus, et al., Resistive memories for ultra-low-power embedded computing design, in Proc. 2014 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2014, pp. 6.3.1-6.3.4.
[21]
D. Ielmini and H. S. P. Wong, In-memory computing with resistive switching devices, Nat. Electr., vol. 1, no. 6, pp. 333-343, 2018.
[22]
A. Sebastian, M. Le Gallo, R. Khaddam-Aljameh, and E. Eleftheriou, Memory devices and applications for in memory computing, Nat. Nanotechnol., vol. 15, no. 7, pp. 529-544, 2020.
[23]
M. A. Zidan, J. P. Strachan, and W. D. Lu, The future of electronics based on memristive systems, Nat. Electr., vol. 1, no. 1, pp. 22-29, 2018.
[24]
J. D. Zhu, T. Zhang, Y. C. Yang, and R. Huang, A comprehensive review on emerging artificial neuromorphic devices, Appl. Phys. Rev., vol. 7, no. 1, p. 011312, 2020.
[25]
Y. Xi, B. Gao, J. S. Tang, A. Chen, M. F. Chang, X. S. Hu, J. Van Der Spiegel, H. Qian, and H. Q. Wu, In-memory learning with analog resistive switching memory: A review and perspective, Proc. IEEE, vol. 109, no. 1, pp. 14-42, 2021.
[26]
W. Q. Zhang, B. Gao, J. S. Tang, P. Yao, S. M. Yu, M. F. Chang, H. J. Yoo, H. Qian, and H. Q. Wu, Neuro-inspired computing chips, Nat. Electr., vol. 3, no. 7, pp. 371-382, 2020.
[27]
D. Ielmini, Modeling the universal set/reset characteristics of bipolar RRAM by field- and temperature-driven filament growth, IEEE Trans. Electr. Dev., vol. 58, no. 12, pp. 4309-4317, 2011.
[28]
R. Waser, R. Dittmann, G. Staikov, and K. Szot, Redox-based resistive switching memories-nanoionic mechanisms, prospects, and challenges, Adv. Mater., vol. 21, nos. 25&26, pp. 2632-2663, 2009.
[29]
S. H. Jo, K. H. Kim, and W. Lu, High-density crossbar arrays based on a Si memristive system, Nano Lett., vol. 9, no. 2, pp. 870-874, 2009.
[30]
S. N. Truong and K. S. Min, New memristor-based crossbar array architecture with 50-% area reduction and 48-% power saving for matrix-vector multiplication of analog neuromorphic computing, J. Semicond. Technol. Sci., vol. 14, no. 3, pp. 356-363, 2014.
[31]
Z. Sun, G. Pedretti, E. Ambrosi, A. Bricalli, W. Wang, and D. Ielmini, Solving matrix equations in one step with cross-point resistive arrays, Proc. Natl. Acad. Sci. USA, vol. 116, no. 10, pp. 4123-4128, 2019.
[32]
A. Maleki, V. Rashtchi, and J. Mazloum, Design and simulation of an infinite impulse response (IIR) filter with memristor, Majl.J. Electr. Eng., vol. 12, no. 3, pp. 23-34, 2018.
[33]
Z. W. Liu, J. S. Tang, B. Gao, P. Yao, X. Y. Li, D. K. Liu, Y. Zhou, H. Qian, B. Hong, and H. Q. Wu, Neural signal analysis with memristor arrays towards high-efficiency brain-machine interfaces, Nat. Commun., vol. 11, no. 1, p. 4234, 2020.
[34]
S. N. Mirebrahimi and F. Merrikh-Bayat, Programmable discrete-time type I and type II FIR filter design on the memristor crossbar structure, Analog Integr. Circu. Sign. Proc., vol. 79, no. 3, pp. 529-541, 2014.
[35]
M. Nourazar, V. Rashtchi, F. Merrikh-Bayat, and A. Azarpeyvand, Towards memristor-based approximate accelerator: application to complex-valued fir filter bank, Analog Integr. Circu. Sign. Proc., vol. 96, no. 3, pp. 577-588, 2018.
[36]
F. Merrikh-Bayat, F. Alibart, L. G. Gao, and D. B. Strukov, A reconfigurable FIR filter with memristor-based weights, arXiv preprint arXiv:1608.05445, 2016.
[37]
K. Abdelhalim and R. Genov, 915-mhz wireless 64- channel neural recording SoC with programmable mixed-signal FIR filters, in Proc. 2011 Proc. ESSCIRC (ESSCIRC), Helsinki, Finland, 2011, pp. 223-226.
DOI
[38]
G. K. Anumanchipalli, J. Chartier, and E. F. Chang, Speech synthesis from neural decoding of spoken sentences, Nature, vol. 568, no. 7753, pp. 493-498, 2019.
[39]
H. Higashi and T. Tanaka, Simultaneous design of FIR filter banks and spatial patterns for EEG signal classification, IEEE Trans. Biomed. Eng., vol. 60, no. 4, pp. 1100-1110, 2012.
[40]
R. Z. Cai, A. Ren, Y. Z. Wang, and B. Yuan, Memristor-based discrete Fourier transform for improving performanceand energy efficiency, in Proc. 2016 IEEE Computer Society Annu. Symp. VLSI (ISVLSI), Pittsburgh, PA, USA, 2016, pp. 643-648.
DOI
[41]
M. Hu and J. P. Strachan, Accelerating discrete Fourier transforms with dot-product engine, in Proc. 2016 IEEE Int. Conf. Rebooting Computing (ICRC), San Diego, CA, USA, 2016, pp. 1-5.
DOI
[42]
S. F. Gao, G. J. Yang, X. Qiu, C. Yang, C. Zhang, B. H. Li, C. Gao, H. Jiang, Z. X. Wang, J. Hu, et al., Programmable linear RAM: A new flash memory-based memristor for artificial synapses and its application to speech recognition system, in Proc. 2019 IEEE Int. Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2019, pp. 14.1.1-14.1.4.
[43]
Y. Halawani, B. Mohammad, M. Al-Qutayri, and S. F. Al- Sarawi, Memristor-based hardware accelerator for image compression, IEEE Trans. Very Large Scale Integr. Syst., vol. 26, no. 12, pp. 2749-2758, 2018.
[44]
K. R. Rao and P. Yip, Discrete Cosine Transform: Algorithms, Advantages, Applications. San Diego, CA, USA: Academic Press Professional, Inc., 1990.
DOI
[45]
B. G. Zhang, N. Uysal, and R. Ewetz, Computational restructuring: Rethinking image processing using memristor crossbar arrays, in Proc. 2020 Design, Automation & Test in Europe Conf. Exhibition, Grenoble, France, 2020, pp. 1594-1597.
DOI
[46]
M. Le Gallo, A. Sebastian, G. Cherubini, H. Giefers, and E. Eleftheriou, Compressed sensing recovery using computational memory, in Proc. 2017 IEEE Int. Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 28.3.1-28.3.4.
DOI
[47]
P. M. Sheridan, F. X. Cai, C. Du, W. Ma, Z. Y. Zhang, and W. D. Lu, Sparse coding with memristor networks, Nat. Nanotechnol., vol. 12, no. 8, pp. 784-789, 2017.
[48]
F. Y. Qian, Y. P. Gong, G. X. Huang, M. Anwar, and L. Wang, Exploiting memristors for compressive sampling of sensory signals, IEEE Trans. Very Large Scale Integr. Syst., vol. 26, no. 12, pp. 2737-2748, 2018.
[49]
F. Y. Qian, Y. P. Gong, and L. Wang, A memristor based image sensor exploiting compressive measurement for low-power video streaming, in Proc. 2017 IEEE Int. Symp. Circuits and Systems (ISCAS), Baltimore, MD, USA, 2017, pp. 2026-2029.
DOI
[50]
S. J. Liu, Y. Z. Wang, M. Fardad, and P. K. Varshney, A memristor-based optimization framework for artificial intelligence applications, IEEE Circuits Syst. Mag., vol. 18, no. 1, pp. 29-44, 2018.
[51]
M. Le Gallo, A. Sebastian, G. Cherubini, H. Giefers, and E. Eleftheriou, Compressed sensing with approximate message passing using in-memory computing, IEEE Trans. Electr. Dev., vol. 65, no. 10, pp. 4304-4312, 2018.
[52]
M. Amarlingam, P. K. Mishra, K. V. V. D. Prasad, and P. Rajalakshmi, Compressed sensing for different sensors: A real scenario for WSN and IoT, in Proc. 2016 IEEE 3rd World Forum on Internet of Things (WF-IoT), Reston, VA, USA, 2016, pp. 289-294.
DOI
[53]
P. M. Sheridan, C. Du, and W. D. Lu, Feature extraction using memristor networks, IEEE Trans. Neural Netw. Learning Syst., vol. 27, no. 11, pp. 2327-2336, 2016.
[54]
X. Ji, X. F. Hu, Y. Zhou, Z. K. Dong, and S. K. Duan, Adaptive sparse coding based on memristive neural network with applications, Cognit. Neurodynam., vol. 13, no. 5, pp. 475-488, 2019.
[55]
W. Woods and C. Teuscher, Fast and accurate sparse coding of visual stimuli with a simple, ultralow-energy spiking architecture, IEEE Trans. Neural Netw. Learning Syst., vol. 30, no. 7, pp. 2173-2187, 2019.
[56]
D. M. Zhang, Y. C. Hou, L. Zeng, and W. S. Zhao, Hardware acceleration implementation of sparse coding algorithm with spintronic devices, IEEE Trans. Nanotechnol., vol. 18, pp. 518-531, 2019.
[57]
J. S. Seo, B. B. Lin, M. Kim, P. Y. Chen, D. Kadetotad, Z. H. Xu, A. Mohanty, S. Vrudhula, S. M. Yu, J. P. Ye, et al., Onchip sparse learning acceleration with CMOS and resistive synaptic devices, IEEE Trans. Nanotechnol., vol. 14, no. 6, pp. 969-979, 2015.
[58]
F. X. Cai, J. M. Correll, S. H. Lee, Y. Lim, V. Bothra, Z. Y. Zhang, M. P. Flynn, and W D. Lu, A fully integrated reprogrammable memristor-CMOS system for efficient multiply-accumulate operations, Nat. Electr., vol. 2, no. 7, pp. 290-299, 2019.
[59]
S. Choi, J. H. Shin, J. Lee, P. Sheridan, and W. D. Lu, Experimental demonstration of feature extraction and dimensionality reduction using memristor networks, Nano Lett., vol. 17, no. 5, pp. 3113-3118, 2017.
[60]
P. M. P. Raj, V. J. Louis, S. K. Chatterjee, S. Kanungo, and S. Kundu, Ferroelectric memristive networks for dimensionality reduction: A process for effectively classifying cancer datasets, Integr. Ferroelectr., vol. 201, no. 1, pp. 126-141, 2019.
[61]
P. Yao, H. Q. Wu, B. Gao, J. S. Tang, Q. T. Zhang, W. Q. Zhang, J. J. Yang, and H. Qian, Fully hardware-implemented memristor convolutional neural network, Nature, vol. 577, no. 7792, pp. 641-646, 2020.
[62]
M. E. Fouda, E. Neftci, A. Eltawil, and F. Kurdahi, Independent component analysis using RRAMs, IEEE Trans. Nanotechnol., vol. 18, pp. 611-615, 2019.
[63]
A. Rak and G. Cserey, Independent component analysis by memristor based neural networks, in Proc. 2014 14th Int. Workshop on Cellular Nanoscale Networks and their Applications (CNNA), Notre Dame, IN, USA, 2014, pp. 1-2.
DOI
[64]
P. K. Reddy, V. J. Louis, V. Subramaniam, R. K. Tripathy, S. Banerjee, and S. Kundu, Implementation of fast ICA using memristor crossbar arrays for blind image source separations, IET Circu. Dev. Syst., vol. 14, no. 4, pp. 484-489, 2020.
[65]
C. Li, D. Belkin, Y. N. Li, P. Yan, M. Hu, N. Ge, H. Jiang, E. Montgomery, P. Lin, Z. R. Wang, et al., Efficient and self-adaptive in-situ learning in multilayer memristor neural networks, Nat. Commun., vol. 9, no. 1, p. 2385, 2018.
[66]
P. Kumar, A. R. Nair, O. Chatterjee, T. Paul, A. Ghosh, S. Chakrabartty, and C. S. Thakur, Neuromorphic in-memory computing framework using memtransistor cross-bar based support vector machines, in Proc. 2019 IEEE 62nd Int. Midwest Symp. Circuits and Systems (MWSCAS), Dallas, TX, USA, 2019, pp. 311-314.
DOI
[67]
L. X. Xia, P. Gu, B. X. Li, T. Q. Tang, X. L. Yin, W. Q. Huangfu, S. M. Yu, Y. Cao, Y. Wang, and H. Z. Yan, Technological exploration of RRAM crossbar array for matrix-vector multiplication, J. Comput. Sci. Technol., vol. 31, no. 1, pp. 3-19, 2016.
[68]
M. Prezioso, F. Merrikh-Bayat, B. D. Hoskins, G. C. Adam, K. K. Likharev, and D. B. Strukov, Training and operation of an integrated neuromorphic network based on metal-oxide memristors, Nature, vol. 521, no. 7550, pp. 61-64, 2015.
[69]
P. Yao, H. Q. Wu, B. Gao, S. B. Eryilmaz, X. Y. Huang, W. Q. Zhang, Q. T. Zhang, N. Deng, L. P. Shi, H. S. P. Wong, et al., Face classification using electronic synapses, Nat. Commun., vol. 8, p. 15199, 2017.
[70]
Y. Lecun, L. Bottou, Y. Bengio, and P. Haffner, Gradient-based learning applied to document recognition, Proc. IEEE, vol. 86, no. 11, pp. 2278-2324, 1998.
[71]
X. Guo, F. M. Bayat, M. Bavandpour, M. Klachko, M. R. Mahmoodi, M. Prezioso, K. K. Likharev, and D. B. Strukov, Fast, energy-efficient, robust, and reproducible mixed-signal neuromorphic classifier based on embedded nor flash memory technology, in Proc. 2017 IEEE Int. Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 6.5.1-6.5.4.
DOI
[72]
Y. C. Xiang, P. Huang, Y. D. Zhao, M. R. Zhao, B. Gao, H. Q. Wu, H. Qian, X. Y. Liu, and J. F. Kang, Impacts of state instability and retention failure of filamentary analog RRAM on the performance of deep neural network, IEEE Trans. Electr. Dev., vol. 66, no. 11, pp. 4517-4522, 2019.
[73]
Z. R. Wang, C. Li, P. Lin, M. Y. Rao, Y. Y. Nie, W. H. Song, Q. R. Qiu, Y. N. Li, P. Yan, J. P. Strachan, et al., In situ training of feed-forward and recurrent convolutional memristor networks, Nat. Mach. Intellig., vol. 1, no. 9, pp. 434-442, 2019.
[74]
L. G. Gao, P. Y. Chen, and S. M. Yu, Demonstration of convolution kernel operation on resistive cross-point array, IEEE Electr. Dev. Lett., vol. 37, no. 7, pp. 870-873, 2016.
[75]
R. Z. Han, P. Huang, Y. C. Xiang, C. Liu, Z. Dong, Z. Q. Su, Y. B. Liu, L. Liu, X. Y. Liu, and J. F. Kang, A novel convolution computing paradigm based on nor flash array with high computing speed and energy efficiency, IEEE Trans. Circ. Syst. I: Regular Papers, vol. 66, no. 5, pp. 1692-1703, 2019.
[76]
Y. H. Wu, M. Schuster, Z. F. Chen, Q. V. Le, M. Norouzi, W. Macherey, M. Krikun, Y. Cao, Q. Gao, K. Macherey, et al., Google’s neural machine translation system: Bridging the gap between human and machine translation, arXiv preprint arXiv: 1609.08144v2, 2016.
[77]
R. Jia and P. Liang, Data recombination for neural semantic parsing, arXiv preprint arXiv: 1606.03622, 2016.
[78]
C. Li, Z. R. Wang, M. Y. Rao, D. Belkin, W. H. Song, H. Jiang, P. Yan, Y. N. Li, P. Lin, M. Hu, et al., Long short-term memory networks in memristor crossbar arrays, Nat. Mach. Intellig., vol. 1, no. 1, pp. 49-57, 2019.
[79]
T. Chang, S. H. Jo, and W. Lu, Short-term memory to long-term memory transition in a nanoscale memristor, ACS Nano, vol. 5, no. 9, pp. 7669-7676, 2011.
[80]
Z. R. Wang, S. Joshi, S. E. Savel’ev, H. Jiang, R. Midya, P. Lin, M. Hu, N. Ge, J. P. Strachan, Z. Li, et al., Memristors with diffusive dynamics as synaptic emulators for neuromorphic computing, Nat. Mater., vol. 16, no. 1, pp. 101-108, 2017.
[81]
J. Moon, W. Ma, J. H. Shin, F. X. Cai, C. Du, S. H. Lee, and W. D. Lu, Temporal data classification and forecasting using a memristorbased reservoir computing system, Nat. Electron., vol. 2, no. 10, pp. 480-487, 2019.
[82]
Y. N. Zhong, J. S. Tang, X. Y. Li, B. Gao, H. Qian, and H. Q. Wu, Dynamic memristor-based reservoir computing for high-efficiency temporal signal processing, Nat. Commun., vol. 12, no. 1, p. 408, 2021.
[83]
J. Borghetti, G. S. Snider, P. J. Kuekes, J. J. Yang, D. R. Stewart, and R. S. Williams, ‘Memristive’ switches enable ‘stateful’ logic operations via material implication, Nature, vol. 464, no. 7290, pp. 873-876, 2010.
[84]
S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, E. G. Friedman, A. Kolodny, and U. C. Weiser, Magic-memristor-aided logic, IEEE Trans. Circu. Syst. II: Exp. Briefs, vol. 61, no. 11, pp. 895-899, 2014.
[85]
K. M. Kim, N. Xu, X. L. Shao, K. J. Yoon, H. J. Kim, R. S. Williams, and C. S. Hwang, Single-cell stateful logic using a dual-bit memristor, Phys. Status Solidi (RRL)-Rapid Res. Lett., vol. 13, no. 3, p. 1800629, 2019.
[86]
N. Xu, L. Fang, K. M. Kim, and C. S. Hwang, Time-efficient stateful dual-bit-memristor logic, Phys. Status Solidi (RRL)-Rapid Res. Lett., vol. 13, no. 6, p. 1900033, 2019.
[87]
J. J. Yang, D. Strukov, and D. Stewart, Memristive devices for computing, Nat. Nanotechnol., vol. 8, no. 1, pp. 13-24, 2013.
[88]
S. Balatti, S. Ambrogio, and D. Ielmini, Normally-off logic based on resistive switches—part I: Logic gates, IEEE Trans. Electr. Dev., vol. 62, no. 6, pp. 1831-1838, 2015.
[89]
B. Chen, F. X. Cai, J. T. Zhou, W. Ma, P. Sheridan, and W. D. Lu, Efficient in-memory computing architecture based on crossbar arrays, in Proc. 2015 IEEE Int. Electron Devices Meeting (IEDM), Washington, DC, USA, 2015, pp. 17.5.1-17.5.4.
[90]
M. Miyamura, M. Tada, T. Sakamoto, N. Banno, K. Okamoto, N. Iguchi, and H. Hada, First demonstration of logic mapping on nonvolatile programmable cell using complementary atom switch, in Proc. 2012 Int. Electron Devices Meeting, San Francisco, CA, USA, 2012, pp. 10.6.1-10.6.4.
DOI
[91]
R. Rosezin, E. Linn, C. Kugeler, R. Bruchhaus, and R. Waser, Crossbar logic using bipolar and complementary resistive switches, IEEE Electr. Dev. Lett., vol. 32, no. 6, pp. 710-712, 2011.
[92]
A. Sebastian, T. Tuma, N. Papandreou, M. Le Gallo, L. Kull, T. Parnell, and E. Eleftheriou, Temporal correlation detection using computational phase-change memory, Nat. Commun., vol. 8, no. 1, p. 1115, 2017.
[93]
Z. W. Liu, J. S. Tang, B. Gao, X. Y. Li, P. Yao, Y. D. Lin, D. K. Liu, B. Hong, H. Qian, and H. Q. Wu, Multichannel parallel processing of neural signals in memristor arrays, Sci. Adv., vol. 6, no. 41, p. eabc4797, 2020.
[94]
R. Karam, R. Puri, S. Ghosh, and S. Bhunia, Emerging trends in design and applications of memory-based computing and content-addressable memories, Proc. IEEE, vol. 103, no. 8, pp. 1311-1330, 2015.
[95]
T. F. Wu, H. T. Li, P. C. Huang, A. Rahimi, G. Hills, B. Hodson, W. Hwang, J. M. Rabaey, H. P. Wong, M. M. Shulaker, et al., Hyperdimensional computing exploiting carbon nanotube fets, resistive ram, and their monolithic 3d integration, IEEE J. Solid-State Circ., vol. 53, no. 11, pp. 3183-3196, 2018.
[96]
L. L. Cai, W. Y. Chen, Y. D. Zhao, X. Y. Liu, J. F. Kang, X. Zhang, and P. Huang, Insight into effects of oxygen reservoir layer and operation schemes on data retention of HfO2-based RRAM, IEEE Trans. Electr. Dev., vol. 66, no. 9, pp. 3822-3827, 2019.
[97]
X. Mu, J. Yu, and S. Wang, The extended linear-drift model of memristor and its piecewise linear approximation, Tsinghua Science and Technology, vol. 19, no. 3, pp. 307-313, 2014.
[98]
M. R. Zhao, H. Q. Wu, B. Gao, Q. T. Zhang, W. Wu, S. Wang, Y. Xi, D. Wu, N. Deng, S. M. Yu, et al., Investigation of statistical retention of filamentary analog rram for neuromophic computing, in Proc. 2017 IEEE Int. Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 39.4.1-39.4.4.
[99]
H Q. Wu, X. H. Wang, B. Gao, N. Deng, Z. H. Lu, B. Haukness, G. Bronner, and H. Qian, Resistive random access memory for future information processing system, Proc. IEEE, vol. 105, no. 9, pp. 1770-1789, 2017.
[100]
Z. R. Wang, S. Joshi, S. Savel’ev, W. H. Song, R. Midya, Y. N. Li, M. Y. Rao, P. Yan, S. Asapu, Y. Zhuo, et al., Fully memristive neural networks for pattern classification with unsupervised learning, Nat. Electr., vol. 1, no. 2, pp. 137-145, 2018.
[101]
P. Kanerva, Hyperdimensional computing: An introduction to computing in distributed representation with high-dimensional random vectors, Cognit. Comput., vol. 1, no. 2, pp. 139-159, 2009.
Publication history
Copyright
Acknowledgements
Rights and permissions

Publication history

Received: 27 February 2021
Revised: 15 June 2021
Accepted: 27 June 2021
Published: 13 November 2021
Issue date: June 2022

Copyright

© The author(s) 2022

Acknowledgements

This work was supported in part by the National Science and Technology Major Project of China (No. 2017ZX02315001-005) and the National Natural Science Foundation of China (Nos. 91964104 and 61974081).

Rights and permissions

The articles published in this open access journal are distributed under the terms of the Creative Commons Attribution 4.0 International License (http://creativecommons.org/licenses/by/4.0/).

Return