Journal Home > Volume 1 , Issue 4

For several decades, chemical mechanical polishing (CMP) has been the most widely used planarization method in integrated circuits manufacturing. The final polishing results are affected by many factors related to the carrier structure, the polishing pad, the slurry, and the process parameters. As both chemical and mechanical actions affect the effectiveness of CMP, and these actions are themselves affected by many factors, the CMP mechanism is complex and has been a hot research area for many years. This review provides a basic description of the development, challenges, and key technologies associated with CMP. We summarize theoretical CMP models from the perspectives of kinematics, empirical, its mechanism (from the viewpoint of the atomic scale, particle scale, and wafer scale), and its chemical–mechanical synergy. Experimental approaches to the CMP mechanism of material removal and planarization are further discussed from the viewpoint of the particle wear effect, chemical–mechanical synergy, and wafer–pad interfacial interaction.


menu
Abstract
Full text
Outline
About this article

Chemical mechanical polishing: Theory and experiment

Show Author's information Dewen ZHAOXinchun LU( )
State Key Laboratory of Tribology, Tsinghua University, Beijing, 100084, China

Abstract

For several decades, chemical mechanical polishing (CMP) has been the most widely used planarization method in integrated circuits manufacturing. The final polishing results are affected by many factors related to the carrier structure, the polishing pad, the slurry, and the process parameters. As both chemical and mechanical actions affect the effectiveness of CMP, and these actions are themselves affected by many factors, the CMP mechanism is complex and has been a hot research area for many years. This review provides a basic description of the development, challenges, and key technologies associated with CMP. We summarize theoretical CMP models from the perspectives of kinematics, empirical, its mechanism (from the viewpoint of the atomic scale, particle scale, and wafer scale), and its chemical–mechanical synergy. Experimental approaches to the CMP mechanism of material removal and planarization are further discussed from the viewpoint of the particle wear effect, chemical–mechanical synergy, and wafer–pad interfacial interaction.

Keywords: chemical mechanical polishing (CMP), CMP model, planarization mechanism, wafer–pad interaction, uniformity

References(93)

[1]
Kahng A B, Samadi K. CMP fill synthesis: a survey of recent studies. IEEE T Comput Aid D 27(1):3-19 (2008)
[2]
Ryan J G, Geffken R M, Poulin N R, Paraszczak J R. The evolution of interconnection technology at IBM. Ibm J Res Dev 39(4):371-381 (1995)
[3]
Bai P, Auth C, Balakrishnan S, Bost M, Brain R, Chikarmane V, Heussner R, Hussein M, Hwang J, Ingerly D, James R, Jeong J, Kenyon C, Lee E, Lee S H, Lindert N, Liu M, Ma Z, Marieb T, Murthy A, Nagisetty R, Natarajan S, Neirynck J, Ott A, Parker C, Sebastian J, Shaheed R, Sivakumar S, Steigerwald J, Tyagi S, Weber C, Woolery B, Yeoh A, Zhang K, Bohr M. A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 μm2 sram cell. In Electron Devices Meeting, 2004. IEDM Technical Digest. IEEE International, 2004: 657-660.
[4]
Zantye P B, Kumar A, Sikder A K. Chemical mechanical planarization for microelectronics applications. Mat Sci Eng R 45(3-6):89-220 (2004)
[5]
Bohr M. Silicon technology for 32nm and beyond system- on-chip products. In Intel Developer Forum, 2009.
[6]
Bozkaya D, Muftu S. A material removal model for CMP based on the contact mechanics of pad, abrasives, and wafer. J Electrochem Soc 156(12):H890-902 (2009)
[7]
Kim H J, Jeong H D. Effect of process conditions on uniformity of velocity and wear distance of pad and wafer during chemical mechanical planarization. J Electron Mater 33(1):53-60 (2004)
[8]
Srinivasa-Murthy C, Wang D, Beaudoin S P, Bibby T, Holland K, Cale T S. Stress distribution in chemical mechanical polishing. Thin Solid Films 308-309:533-537 (1997)
[9]
Lo S P, Lin Y Y, Huang J C. Analysis of retaining ring using finite element simulation in chemical mechanical polishing process. Int J Adv Manuf Tech 34(5-6):547-555 (2007)
[10]
Lin Y Y. Influence of a retaining ring on strain and stress in the chemical mechanical polishing process. Mater Manuf Process 22(7-8):871-878 (2007)
[11]
Wang T Q, Lu X C. Numerical and experimental investigation on multi-zone chemical mechanical planarization. Microelectron Eng 88(11):3327-3332 (2011)
[12]
Park K, Oh J, Jeong H. Pad characterization and experimental analysis of pad wear effect on material removal uniformity in chemical mechanical polishing. Jpn J Appl Phys 47(10Part 1):7812-7817 (2008)
[13]
Kim B S, Tucker M H, Kelchner J D, Beaudoin S P. Study on the mechanical properties of CMP pads. IEEE T Semiconduct M 21(3):454-463 (2008)
[14]
Thakurta D G, Borst C L, Schwendeman D W, Gutmann R J, Gill W N. Pad porosity, compressibility and slurry delivery effects in chemical-mechanical planarization: modeling and experiments. Thin Solid Films 366(1-2):181-190 (2000)
[15]
Rosales-Yeomans D, DeNardis D, Borucki L, Philipossian A. Design and evaluation of pad grooves for copper CMP. J Electrochem Soc 155(10):H797-H806 (2008)
[16]
Lee H, Park B, Jeong H. Influence of slurry components on uniformity in copper chemical mechanical planarization. Microelectron Eng 85(4):689-696 (2008)
[17]
Steigerwald J M, Murarka S P, Gutmann R J, Duquette D J. Chemical processes in the chemical mechanical polishing of copper. Mater Chem Phys 41(3):217-228 (1995)
[18]
[19]
Peter S. Low-pressure CMP developed for 300 mm ultralow-k. Semiconductor International 26(12):30 (2003)
[20]
Dhandapani S, Qian J, Cherian B, Menk G, Garretson C, Lee H, Bennett D, Osterheld T. In situ profile control with titan edgetm heads for dielectric planarization of advanced CMOS devices. In International Conference on Planarization/CMP Technology (ICPT 2012), Grenoble, France, 2012: 1-5.
[21]
Hocheng H, Huang Y L. A comprehensive review of end point detection in chemical mechanical polishing for deep- submicron integrated circuits manufacturing. Int J Nano Tech 1:1-18 (2002)
[22]
Das T K, Ganesan R, Sikder A K, Kumar A. Online end point detection in CMP using SPRT of wavelet decomposed sensor data. IEEE T Semiconduct M 18(3):440-447 (2005)
[23]
Bibby T, Adams J A, Holland K. Optical endpoint detection for chemical mechanical planarization. J Vac Sci Technol B 17(5):2378-2384 (1999)
[24]
Seo Y J, Lee W S, Park J S, Kim S Y. Motor-current-based real-time end point detection of shallow-trench-isolation chemical mechanical polishing process using high-selectivity slurry. Jpn J Appl Phys 42(10):6396-6369 (2003)
[25]
Xie Y S, Bhushan B. Effects of particle size, polishing pad and contact pressure in free abrasive polishing. Wear 200(1-2):281-295 (1996)
[26]
Bastawros A, Chandra A, Guo Y J, Yan B. Pad effects on material-removal rate in chemical-mechanical planarization. J Electron Mater 31(10):1022-1031 (2002)
[27]
Kim H J, Kim H Y, Jeong H D, Lee E S, Shin Y J. Friction and thermal phenomena in chemical mechanical polishing. J Mater Process Tech 130(SI):334-338 (2002)
[28]
Oh S, Seok J. Modeling of chemical-mechanical polishing considering thermal coupling effects. Microelectron Eng 85(11):2191-2201 (2008)
[29]
Zhao D W, He Y Y, Wang T Q, Lu X C. Effect of kinematic parameters and their coupling relationships on global uniformity of chemical-mechanical polishing. IEEE T Semiconduct M 25(3):502-510 (2012)
[30]
Tseng W T, Chin J H, Kang L C. A comparative study on the roles of velocity in the material removal rate during chemical mechanical polishing. J Electrochem Soc 146(5):1952-1959 (1999)
[31]
Wang C L, Jin Z J, Kang R K. Effects of kinematic forms on material removal rate and non-uniformity in chemical mechanical planarisation. Int J Mater Prod Tec 31(1):54-62 (2008)
[32]
Hocheng H, Tsai H Y, Tsai M S. Effects of kinematic variables on nonuniformity in chemical mechanical planarization. Int J Mach Tool Manu 40(11):1651-1669 (2000)
[33]
Tyan F. Nonuniformity of wafer and pad in CMP: kinematic aspects of view. IEEE T Semiconduct M 20(4):451-463 (2007)
[34]
Zhao D, Wang T, He Y, Lu X. Kinematic optimization for chemical mechanical polishing based on statistical analysis of particle trajectories. Semiconductor Manufacturing, IEEE Transactions on 26(4):556-563 (2013)
[35]
Wang D, Lee J, Holland K, Bibby T, Beaudoin S, Cale T. Von mises stress in chemical-mechanical polishing processes. J Electrochem Soc 144(3):1121-1127 (1997)
[36]
Lin Y Y, Chen D Y, Ma C. Simulations of a stress and contact model in a chemical mechanical polishing process. Thin Solid Films 517(21):6027-6033 (2009)
[37]
Lin Y Y, Lo S P. Modeling of chemical mechanical polishing process using FEM and abductive network. Eng Appl Artif Intel 18(3):373-381 (2005)
[38]
Lin Y Y, Lo S P. A study of a finite element model for the chemical mechanical polishing process. Int J Adv Manuf Tech 23(9-10):644-650 (2004)
[39]
Lin Y Y, Lo S P. A study on the stress and nonuniformity of the wafer surface for the chemical-mechanical polishing process. Int J Adv Manuf Tech 22(5-6):401-409 (2003)
[40]
Chen K S, Yeh H M, Yan J L, Chen Y T. Finite-element analysis on wafer-level CMP contact stress: reinvestigated issues and the effects of selected process parameters. Int J Adv Manuf Tech 42(11-12):1118-1130 (2009)
[41]
Fu G H, Chandra A. The relationship between wafer surface pressure and wafer backside loading in chemical mechanical polishing. Thin Solid Films 474(1-2):217-221 (2005)
[42]
Wang T, Lu X, Zhao D, He Y. Contact stress non-uniformity of wafer surface for multi-zone chemical mechanical polishing process. Science China Technological Sciences 56(8):1974-1979 (2013)
[43]
Tseng W T, Wang Y L. Re-examination of pressure and speed dependences of removal rate during chemical- mechanical polishing processes. J Electrochem Soc 144(2):L15-L17 (1997)
[44]
Zhao D W, He Y Y, Wang T Q, Lu X C, Luo J B. Effects of the polishing variables on the wafer-pad interfacial fluid pressure in chemical mechanical polishing of 12-inch wafer. J Electrochem Soc 159(3):H342-H348 (2012)
[45]
Castillo-Mejia D, Beaudoin S. A locally relevant prestonian model for wafer polishing. J Electrochem Soc 150(2):G96-G102 (2003)
[46]
Seok J, Sukam C P, Kim A T, Tichy J A, Cale T S. Multiscale material removal modeling of chemical mechanical polishing. Wear 254(3-4):307-320 (2003)
[47]
Seok K J, Kim A T, Sukam C P, Jindal A, Tichy J A, Gutmann R J, Cale T S. Inverse analysis of material removal data using a multiscale CMP model. Microelectron Eng 70(2-4):478-488 (2003)
[48]
Zhao Y W, Chang L. A micro-contact and wear model for chemical-mechanical polishing of silicon wafers. Wear 252(3-4):220-226 (2002)
[49]
Zhao Y W, Maietta D M, Chang L. An asperity microcontact model incorporating the transition from elastic deformation to fully plastic flow. J Tribol-T ASME 122(1):86-93 (2000)
[50]
Luo J F, Dornfeld D A. Material removal mechanism in chemical mechanical polishing: theory and modeling. IEEE T Semiconduct M 14(2):112-133 (2001)
[51]
Shi F G, Zhao B. Modeling of chemical-mechanical polishing with soft pads. Appl Phys A-Mater 67(2):249-252 (1998)
[52]
Wang Y G, Zhao Y W, Gu J. A new nonlinear-micro-contact model for single particle in the chemical-mechanical polishing with soft pad. J Mater Process Tech 183(2-3):374-379 (2007)
[53]
Yeruva S B. Particle scale modeling of material removal and surface roughness in chemical mechanical polishing. PhD thesis. Florida (USA): University of Florida, 2005.
DOI
[54]
Yeruva S B, Park C W, Rabinovich Y I, Moudgil B M. Impact of pad-wafer contact area in chemical mechanical polishing. J Electrochem Soc 156(10):D408-D412 (2009)
[55]
Greenwood J A, Williamson J B P. Contact of nominally flat surfaces. Proc R Soc Lond A 295(1442):300-319 (1966)
[56]
Jeng Y, Huang P. A material removal rate model considering interfacial micro-contact wear behavior for chemical mechanical polishing. J Tribol 127(1):190-197 (2005)
[57]
Uneda M, Maeda Y, Ishikawa K, Ichikawa K, Doi T, Yamazaki T, Aida H. Relationships between contact image analysis results for pad surface texture and removal rate in CMP. J Electrochem Soc 159(2):H90-H95 (2012)
[58]
Cho C H, Park S S, Ahn Y. Three-dimensional wafer scale hydrodynamic modeling for chemical mechanical polishing. Thin Solid Films 389(1-2):254-260 (2001)
[59]
Park S S, Cho C H, Ahn Y. Hydrodynamic analysis of chemical mechanical polishing process. Tribol Int 33(10):723-730 (2000)
[60]
Zhang C H, Luo J B, Liu J Q, Du Y P. Analysis on contact and flow features in CMP process. Chinese Sci Bull 51(18):2281-2286 (2006)
[61]
Sundararajan S, Thakurta D G, Schwendeman D W, Murarka S P, Gill W N. Two-dimensional wafer-scale chemical mechanical planarization models based on lubrication theory and mass transport. J Electrochem Soc 146(2):761-766 (1999)
[62]
Kim T, Cho Y. Average flow model with elastic deformation for CMP. Tribol Int 39(11):1388-1394 (2006)
[63]
Ng S H. Measurement and modeling of fluid pressures in chemical mechanical polishing. PhD thesis. Atlanta (USA): Georgia Institute of Technology, 2005.
[64]
Jeng Y, Huang P, Pan W. Tribological analysis of CMP with partial asperity contact. J Electrochem Soc 150(10):G630-G637 (2003)
[65]
Tichy J. Contact mechanics and lubrication hydrodynamics of chemical mechanical polishing. J Electrochem Soc 146(4):1523-1528 (1999)
[66]
Tsai H J, Jeng Y R, Huang P Y. An improved model considering elastic-plastic contact and partial hydrodynamic lubrication for chemical mechanical polishing. P I Mech Eng J-J Eng 222(J6):761-770 (2008)
[67]
Hu I, Yang T S, Chen K S. Synergetic effects of wafer rigidity and retaining-ring parameters on contact stress uniformity in chemical mechanical planarization. Int J Adv Manuf Tech 56(5-8):523-538 (2011)
[68]
Chen R L, Jiang R R, Lei H, Liang M. Material removal mechanism during porous silica cluster impact on crystal silicon substrate studied by molecular dynamics simulation. Appl Surf Sci 264:148-156 (2013)
[69]
Chen R L, Liang M, Luo J B, Lei H, Guo D, Hu X. Comparison of surface damage under the dry and wet impact: molecular dynamics simulation. Appl Surf Sci 258(5):1756-1761 (2011)
[70]
Chen R L, Luo J B, Guo D, Lei H. Dynamic phase transformation of crystalline silicon under the dry and wet impact studied by molecular dynamics simulation. J Appl Phys 108:0735217 (2010)
[71]
Chen R L, Luo J B, Guo D, Lu X C. Extrusion formation mechanism on silicon surface under the silica cluster impact studied by molecular dynamics simulation. J Appl Phys 104:10490710 (2008)
[72]
Si L N, Guo D, Luo J B, Lu X C. Monoatomic layer removal mechanism in chemical mechanical polishing process: a molecular dynamics study. J Appl Phys 107:0643106 (2010)
[73]
Si L N, Guo D, Luo J B, Lu X C, Xie G X. Abrasive rolling effects on material removal and surface finish in chemical mechanical polishing analyzed by molecular dynamics simulation. J Appl Phys 109:0843358 (2011)
[74]
Si L N, Guo D, Luo J B, Xie G X. Planarization process of single crystalline silicon asperity under abrasive rolling effect studied by molecular dynamics simulation. Appl Phys A-Mater 109(1):119-126 (2012)
[75]
Han X S, Hu Y Z, Yu S Y. Investigation of material removal mechanism of silicon wafer in the chemical mechanical polishing process using molecular dynamics simulation method. Appl Phys A-Mater 95(3):899-905 (2009)
[76]
Chen K, Wang Y L. Study of non-preston phenomena induced from the passivated additives in copper CMP. J Electrochem Soc 154(1):H41-H47 (2007)
[77]
Qin K, Moudgil B, Park C W. A chemical mechanical polishing model incorporating both the chemical and mechanical effects. Thin Solid Films 446(2):277-286 (2004)
[78]
Li J, Liu Y H, Lu X C, Luo J B, Dai Y J. Material removal mechanism of copper CMP from a chemical-mechanical synergy perspective. Tribol Lett 49(1):11-19 (2013)
[79]
Li J, Lu X C, He Y Y, Luo J B. Modeling the chemical- mechanical synergy during copper CMP. J Electrochem Soc 158(2):H197-H202 (2011)
[80]
Yu J X, Kim S H, Yu B J, Qian L M, Zhou Z R. Role of tribochemistry in nanowear of single-crystalline silicon. ACS Appl Mater Interfaces 4(3):1585-1593 (2012)
[81]
Liao C L, Guo D, Wen S Z, Luo J B. Effects of chemical additives of CMP slurry on surface mechanical characteristics and material removal of copper. Tribol Lett 45(2):309-317 (2012)
[82]
Luo J F, Dornfeld D A. Material removal regions in chemical mechanical planarization for submicron integrated circuit fabrication: coupling effects of slurry chemicals, abrasive size distribution, and wafer-pad contact area. IEEE T Semiconduct M 16(1):45-56 (2003)
[83]
Mueller N, Rogers C, Manno V P, White R, Moinpour M. In situ investigation of slurry flow fields during CMP. J Electrochem Soc 156(12):H908-H912 (2009)
[84]
Levert J A, Mess F M, Salant R F, Danyluk S, Baker A R. Mechanisms of chemical-mechanical polishing of sio2 dielectric on integrated circuits. Tribol T 41(4):593-599 (1998)
[85]
Levert J A, Danyluk S, Tichy J. Mechanism for subambient interfacial pressures while polishing with liquids. J Tribol 122(2):450-457 (2000)
[86]
Shan L, Levert J, Meade L, Tichy J, Danyluk S. Interfacial fluid mechanics and pressure prediction in chemical mechanical polishing. J Tribol-T ASME 122(3):539-543 (2000)
[87]
Ng S H, Yoon I, Higgs C F, Danyluk S. Wafer-bending measurements in CMP. J Electrochem Soc 151(12):G819-G823 (2004)
[88]
Ng S H, Borucki L, Higgs C F, Yoon I, Osorno A, Danyluk S. Tilt and interfacial fluid pressure measurements of a disk sliding on a polymeric pad. J Tribol-T ASME 127(1):198-205 (2005)
[89]
Scarfo A M, Manno V P, Rogers C B, Anjur S P, Moinpour M. In situ measurement of pressure and friction during CMP of contoured wafers. J Electrochem Soc 152(6):G477-G481 (2005)
[90]
Zhao D W, He Y Y, Lu X C. In situ measurement of fluid pressure at the wafer-pad interface during chemical mechanical polishing of 12-inch wafer. J Electrochem Soc 159(1):H22-H28 (2011)
[91]
Zhao D W, He Y Y, Wang T Q, Lu X C, Luo J B. Wafer bending/orientation characterization and their effects on fluid lubrication during chemical mechanical polishing. Tribol Int 66:330-336 (2013)
[92]
Zhao D W, Wang T Q, He Y Y, Lu X C. Effect of zone pressure on wafer bending and fluid lubrication behavior during multi-zone CMP process. Microelectron Eng 108:33-38 (2013)
[93]
Li J, Liu Y, Dai Y, Yue D, Lu X, Luo J. Achievement of a near-perfect smooth silicon surface. Science China Technological Sciences 56(11):2847-2853 (2013)
Publication history
Copyright
Acknowledgements
Rights and permissions

Publication history

Received: 25 October 2013
Accepted: 24 November 2013
Published: 12 December 2013
Issue date: December 2013

Copyright

© The author(s) 2013

Acknowledgements

The authors appreciate the financial support provided by the Science Fund for Creative Research Groups (Grant No. 51021064), and the National Natural Science Foundation of China (Grant No. 51305227). The authors would like to thank Enago (www.enago.cn) for the English language review.

Rights and permissions

This article is published with open access at Springerlink.com

Open Access: This article is distributed under the terms of the Creative Commons Attribution License which permits any use, distribution, and reproduction in any medium, provided the original author(s) and source are credited.

Return