Journal Home > Volume 1 , Issue 4

Chemical mechanical planarization (CMP) has become one of the most critical processes in semiconductor device fabrication to achieve global planarization. To achieve an efficient global planarization for device node dimensions of less than 32 nm, a comprehensive understanding of the physical, chemical, and tribo-mechanical/chemical action at the interface between the pad and wafer in the presence of a slurry medium is essential. During the CMP process, some issues such as film delamination, scratching, dishing, erosion, and corrosion can generate defects which can adversely affect the yield and reliability. In this article, an overview of material removal mechanism of CMP process, investigation of the scratch formation behavior based on polishing process conditions and consumables, scratch formation mechanism and the scratch inspection tools were extensively reviewed. The advantages of adopting the filtration unit and the jet spraying of water to reduce the scratch formation have been reviewed. The current research trends in the scratch formation, based on modeling perspective were also discussed.


menu
Abstract
Full text
Outline
About this article

Scratch formation and its mechanism in chemical mechanical planarization (CMP)

Show Author's information Tae-Young KWONManivannan RAMACHANDRANJin-Goo PARK( )
Department of Materials Engineering, Hanyang University, Ansan 426-791, Korea

Abstract

Chemical mechanical planarization (CMP) has become one of the most critical processes in semiconductor device fabrication to achieve global planarization. To achieve an efficient global planarization for device node dimensions of less than 32 nm, a comprehensive understanding of the physical, chemical, and tribo-mechanical/chemical action at the interface between the pad and wafer in the presence of a slurry medium is essential. During the CMP process, some issues such as film delamination, scratching, dishing, erosion, and corrosion can generate defects which can adversely affect the yield and reliability. In this article, an overview of material removal mechanism of CMP process, investigation of the scratch formation behavior based on polishing process conditions and consumables, scratch formation mechanism and the scratch inspection tools were extensively reviewed. The advantages of adopting the filtration unit and the jet spraying of water to reduce the scratch formation have been reviewed. The current research trends in the scratch formation, based on modeling perspective were also discussed.

Keywords: Chemical mechanical planarization (CMP), defects, scratch, post-CMP cleaning, defect source

References(95)

[1]
Zantye P B, Kumar A, Sikder A K. Chemical mechanical planarization for microelectronics applications. Mater Sci Eng R Rep 45(3-6):89-220 (2004)
[2]
DeJulie R. CMP grows in sophistication. Semiconductor International 21(13):56-80 (1998)
[3]
Oliver M R. Chemical-Mechanical Planarization of Semiconductor Materials. Berlin (Germany): Springer, 2004.
DOI
[4]
Fury M A. The early days of CMP. Solid State Technol 40:81 (1997)
[5]
Steigerwald J M, Murarka S P, Gutmann R J. Chemical Mechanical Planarization of Microelectronic Materials. New York (USA): John Wiley & Sons, 1997.
DOI
[6]
Ali I, Roy S R, Shinn G. Chemical mechanical polishing of interlayer dielectric: A review. Solid State Technol 10:63-70 (1994)
[7]
Li Y. Microelectronic Applications of Chemical Mechanical Planarization. New Jersey (USA): John Wiley Sons, 2007.
DOI
[8]
Liang H, Kaufman F, Sevilla R, Anjur S. Wear phenomena in chemical mechanical polishing. Wear 211(2):271-279 (1997)
[9]
Palla B J, Shah D O. Correlation of observed stability and polishing performance to abrasive particle size for CMP. In Proceedings of the IEEE/CPMT International Electronics Manufacturing Technology (IEMT) Symposium, 1999: 362- 369.
[10]
Luo J, Dornfeld D A. Effects of abrasive size distribution in chemical mechanical planarization: Modeling and verification. IEEE T Semiconduct M 16(3):469-476 (2003)
[11]
Gokhale K S, Moudgil B M. Particle technology in chemical mechanical planarization. KONA 25:88-96 (2007)
[12]
Zhao B, Shi F G. Chemical mechanical polishing: Threshold pressure and mechanism. Electrochem Solid-State Lett 2(3):145-147 (1999)
[13]
Basim G B, Adler J J, Mahajan U, Singh R K, Moudgil B M. Effect of particle size of chemical mechanical polishing slurries for enhanced polishing with minimal defects. J Electrochem Soc 147(9):3523-3528 (2000)
[14]
Inaba S, Katsuyama T, Tanaka M. Study of CMP polishing pad control method. In 1998 Proceedings of the Third International Chemical-Mechanical Planarization for VLSI Multilevel Interconnection Conference (CMP-MIC), 1998: 44-51.
[15]
Jairath R, Desai M, Stell M, Telles R, Scherber-Brewer D. Consumables for the chemical mechanical polishing (CMP) of dielectrics and conductors. In Materials Research Society Symposium – Proceedings, 1994: 121-131.
DOI
[16]
Yamada Y, Kawakubo M, Hirai O, Konishi N, Kurokawa S, Doi T. Frictional characterization of chemical-mechanical polishing pad surface and diamond conditioner wear. Jpn J Appl Phys Part 1 47(8):6282-6287 (2008)
[17]
Preston F W. The theory and design of plate lass polishing machines. J Soc Glass Tech 11:214-256 (1927)
[18]
Luo Q, Ramarajan S, Babu S V. Modification of the Preston equation for the chemical mechanical polishing of copper. Thin Solid Films 335(1-2):160-167 (1998)
[19]
Cook L M. Chemical processes in glass polishing. J Non- cryst Solids 120:152-171 (1990)
[20]
Liu C W, Dai B T, Tseng W T, Yeh C F. Modeling of the wear mechanism during chemical-mechanical polishing. Journal of the Electrochemical Society 143(2):716-721 (1996)
[21]
Runnels S R. Feature-scale fluid-based erosion modeling for chemical mechanical polishing. J Electrochem Soc 141(7):1900-1904 (1994)
[22]
Tseng W T, Wang T L. Re-examination of pressure and speed dependence of removal rate during chemical mechanical polishing processes. J Electrochem Soc 144(2):L15-L17 (1997)
[23]
Zhang F, Busnaina A A, Ahmadi G. Particle adhesion and removal in chemical mechanical polishing and post-CMP cleaning. J Electrochem Soc 146(7):2665-2669 (1999)
[24]
Ahmadi G, Xia X. A model for mechanical wear and abrasive particle adhesion during the chemical mechanical polishing process. J Electrochem Soc 148(3):G99-G109 (2001)
[25]
Park K H, Kim H J, Chang O M, Jeong H D. Effects of pad properties on material removal in chemical mechanical polishing. J Mater Process Tech 187-188:73-76 (2007)
[26]
Yu T K, Yu C C, Orlowski M. Statistical polishing pad model for chemical-mechanical polishing. In Electron Devices Meeting 1993. IEDM'93. Technical Digest, International. IEEE, 1993: 865-868.
[27]
Zhao B, Shi F G. Chemical mechanical polishing in IC processes: New fundamental insights. In Proceedings of the Fourth International Chemical Mechanical Planarization for ULSI Multilevel Interconnection Conference, 1999: 12-22.
[28]
Luo J, Dornfeld D A. Material removal mechanism in chemical mechanical polishing: theory and modeling. IEEE T Semiconduct M 14(2):112-133 (2001)
[29]
Ring T A, Feeney P, Boldridge D, Kasthurirangan J, Li S, Dirksen J A. Brittle and ductile fracture mechanics analysis of surface damage caused during CMP. J Electrochem Soc 154(3):H239-H248 (2007)
[30]
Seo Y J, Kim S Y, Lee W S. Reduction of process defects using a modified set-up for chemical mechanical polishing equipment. Microelectron Eng 65(4):371-379 (2003)
[31]
Lee S I, Hwang J, Kim, Jeong H. Investigation of polishing characteristics of shallow trench isolation chemical mechanical planarization with different types of slurries. Microelectron Eng 84(4):626-630 (2007)
[32]
Yamada Y, Konishi N, Noguchi J, Jimbo T. Influence of CMP slurries and post-CMP cleaning solutions on Cu interconnects and TDDB reliability. J Electrochem Soc 155(7):H485-H490 (2008)
[33]
Krishnan M, Nalaskowski J W, Cook L M. Chemical mechanical planarization: Slurry chemistry, materials, and mechanisms. Chem Rev 110(1):178-204 (2010)
[34]
Jung S M, Uom J S, Cho W S, Bae Y J, Chung Y K, Yu K S, Kim K Y, Kim K. A study of formation and failure mechanism of CMP scratch induced defects on ILD in a W-damascene interconnect SRAM cell. In Reliability Physics Symposium, 2001. Proceedings. 39th Annual. 2001 IEEE International. IEEE, 2001: 42-47.
[35]
Kim H J, Yang J C, Yoon B U, Lee H D, Kim T. Nano- scale stick-slip friction model for the chatter scratch generated by chemical mechanical polishing process. J Nanosci Nanotech 12(7):5683-5686 (2012)
[36]
International technology roadmap for semiconductors, http://www.itrs.net/, 2010.
[37]
Ding P, Starr G W, Chowdhury P, Hirleman E D. Defect characterization and light scattering by PSL spheres on tungsten CMP wafers. In Proc. SPIE 3215, In-Line Characterization Techniques for Performance and Yield Enhancement in Microelectronic Manufacturing, Austin, USA, 1997: 50-60.
DOI
[38]
McGarvey S, Miller A E. Surface scanning inspection system defect classification of CMP induced scratches. In Proc. SPIE 7971, Metrology, Inspection, and Process Control for Microlithography XXV, San Jose, California, USA, 2011: 79712P1-8.
DOI
[39]
Abbadie A, Cresente F, Séméria M N. Advanced wet cleaning post-CMP. Application to reclaim wafers. J Electrochem Soc 151(1):G57-G66 (2004)
[40]
Kim S Y, Park S W, Seo Y J. Improvements of CMP characteristics using slurry filter and high-spray bar of de- ionized water. J Mater Sci-Mater El 13(12):693-696 (2002)
[41]
Kasai T, Dowell C, Somanchi A. Application of a laser assisted defect detection system for chemical mechanical planarization slurry development in rigid disk polishing. Meas Sci Technol 18(5):1582-1590 (2007)
[42]
Stokowski S, Vaez-Iravani M. Wafer inspection technology challenges for ULSI manufacturing. In AIP Conference Proceedings, Gaithersburg, Maryland, USA, 1998: 405.
DOI
[43]
Seo Y J, Kim S Y, Lee W S. Advantages of point of use (POU) slurry filter and high spray method for reduction of CMP process defects. Microelectron Eng 70:1-6 (2003)
[44]
Teo T Y, Goh W L, Leong L S, Lim V S K, Tse T Y, Chan L. Characterization and reduction of copper chemical mechanical polishing induced scratches. In Proc. SPIE 5041, Process and Materials Characterization and Diagnostics in IC Manufacturing, Santa Clara, CA, USA, 2003: 61-69.
DOI
[45]
Ahn Y, Yoon J Y, Baek C W, Kim Y K. Chemical mechanical polishing by colloidal silica-based slurry for micro-scratch reduction. Wear 257(7-8):785-789 (2004)
[46]
Kim D H, Kang H G, Kim S K, Paik U, Park J G. Agglomerated large particles under various slurry preparation conditions and their influence on shallow trench isolation chemical mechanical polishing. Jpn J Appl Phys Part 1 44(11):7770-7776 (2005)
[47]
Remsen E E, Anjur S, Boldridge D, Kamiti M, Li S, Johns T, Dowell C, Kasthurirangan J, Feeney P. Analysis of large particle count in fumed silica slurries and Its Correlation with scratch defects generated by CMP. J Electrochem Soc 153(5):G453-G461 (2006)
[48]
Coutinho C A, Mudhivarthi S R, Kumar A, Gupta V K. Novel ceria-polymer microcomposites for chemical mechanical polishing. Appl Surf Sci 255(5 Part 2):3090-3096 (2008)
[49]
Jindal A, Hegde S, Babu S V. Chemical mechanical polishing using mixed abrasive slurries. Electrochem Solid-State Lett 5(7):G48-G50 (2002)
[50]
Wrschka P, Hernandez J, Oehrlein G S, Negrych J A, Haag G, Rau P, Currie J E. Development of a slurry employing a unique silica abrasive for the CMP of Cu damascene structures. J Electrochem Soc 148(6):G321-G325 (2001)
[51]
Lei H, Zhang P. Preparation of alumina/silica core-shell abrasives and their CMP behavior. Appl Surf Sci 253(21):8754-8761 (2007)
[52]
Armini S, Whelan C M, Maex K, Hernandez J L, Moinpour M. Composite polymer-core silica-shell abrasive particles during oxide CMP: A defectivity study. J Electrochem Soc 154(8):H667-H671 (2007)
[53]
Armini S, Burtovyy R, Moinpour M, Luzinov I, De Messemaeker J, Whelan C M, Maex K. Interaction forces between a glass surface and ceria modified PMMA based abrasives for CMP measured by colloidal probe AFM. J Electrochem Soc 155(4):H218-H223 (2008)
[54]
Armini S, Whelan C M, Moinpour M, Maex K. Composite polymer core-silica shell abrasives: The effect of the shape of the silica particles on oxide CMP. J Electrochem Soc 155(6):H401-H406 (2008)
[55]
Chang F C, Tanawade S, Singh R K. Effects of stress-induced particle agglomeration on defectivity during CMP of low-k dielectrics. J Electrochem Soc 156(1):H39-H42 (2009)
[56]
Yi C, Tsai C F, Wang J F. Stabilization of slurry used in chemical mechanical polishing of semiconductor wafers by adjustment of pH of deionized water. U.S. Patent 6 130 163, Oct. 2000.
[57]
Chang F C, Singh R K. Method for quantifying the degree of agglomeration in highly stable chemical mechanical polishing slurries. Electrochem Solid-State Lett 12(4):H127-H130 (2009)
[58]
Donis R, Fisher M, Bauck L. Effect of slurry distribution using diaphragm and centrifugal pupms on the defectivity in a Cu CMP process. ECS Trans 25(38):47-54 (2010)
[59]
Hooper B J, Byrne G, Galligan S. Pad conditioning in chemical mechanical polishing. J Mater Process Tech 123(1):107-113 (2002)
[60]
Landis H, Burke P, Cote W, Hill W, Hoffman C, Kaanta C, Koburger C, Lange W, Leach M, Luce S. Integration of chemical-mechanical polishing into CMOS integrated circuit manufacturing. Thin Solid Films 220(1-2):1-7 (1992)
[61]
Lee W J, Park H S, Shin H C. Enhancement of CMP pad lifetime for shallow trench isolation process using profile simulation. Curr Appl Phys 9(1):S134-S137 (2009)
[62]
Choi J G, Prasad Y N, Kim I K, Kim W J, Park J G. The synergetic role of pores and grooves of the pad on the scratch formation during STI CMP. J Electrochem Soc 157(8):H806-H809 (2010)
[63]
Wang Y C, Yang T S. Effects of pad grooves on chemical mechanical planarization. J Electrochem Soc 154(6):H486-H494 (2007)
[64]
Thakurta D G, Borst C L, Schwendeman D W, Gutmann R J, Gill W N. Pad porosity, compressibility and slurry delivery effects in chemical-mechanical planarization: Modeling and experiments. Thin Solid Films 366(1-2):181-190 (2000)
[65]
Rosales-Yeomans D, Doi T, Kinoshita M, Suzuki T, Philipossian A. Effect of pad groove designs on the frictional and removal rate characteristics of ILD CMP. J Electrochem Soc 152(1):G62-G67 (2005)
[66]
Hsien Y H, Hsu H K, Tsai T C, Lin W, Huang R P, Chen C H, Yang C L, Wu J Y. Process development of high-k metal gate aluminum CMP at 28 nm technology node. Microelectron Eng 92:19-23 (2012)
[67]
Choi J G, Prasad Y N, Kim I K, Kim I G, Kim W J, Busnaina A A, Park J G. Analysis of scratches formed on oxide surface during chemical mechanical planarization. J Electrochem Soc 157(2):H186-H191 (2010)
[68]
Eusner T, Saka N, Chun J H. Breaking-in a pad for scratch-free, Cu chemical-mechanical polishing. J Electrochem Soc 158(4):H379-H389 (2011)
[69]
Tsai M Y, Chen W K. Effect of CMP conditioner diamond shape on pad topography and oxide wafer performances. Int J Adv Manuf Tech 55(1-4):253-262 (2011)
[70]
Yang J C, Choi J H, Hwang, Lee C G, Kim T. Effects of diamond size of CMP conditioner on wafer removal rates and defects for solid (non-porous) CMP pad with micro-holes. Int J Mach Tool Manu 50(10):860-868 (2010)
[71]
Yang J C, Kim H, Lee C G, Lee H D, Kim T. Optimization of CMP pad surface by laser induced micro hole. J Electrochem Soc 158(1):H15-H20 (2011)
[72]
Prasad Y N, Kwon T Y, Kim I K, Kim I G, Park J G. Generation of Pad debris during oxide CMP process and Its role in scratch formation. J Electrochem Soc 158(4):H394-H400 (2011)
[73]
Kwon T Y, Cho B J, Ramachandran M, Busnaina A A, Park J G. Investigation of Source-Based Scratch Formation During Oxide Chemical Mechanical Planarization. Tribol Lett 50(2):169-175 (2013)
[74]
Yang J C, Oh D W, Kim H J, Kim T. Investigation on surface hardening of polyurethane pads during chemical mechanical polishing (CMP). J Electron Mater 39(3):338-346 (2010)
[75]
Lin M, Chang C Y, Liao D C, Wang B, Henderson A. Improved STI CMP technology for micro-scratch issue. In Proceedings of Chemical Mechanical Polishing-Multilevel Interconnection Conference, Santa Clara, 1999: 322.
[76]
Huang J, Chen H C, Wu J Y, Lur W. Investigation of CMP micro-scratch in the fabrication of sub-quarter micron VLSI circuit. In Proceedings of Chemical Mechanical Polishing- Multilevel Interconnection Conference, Santa Clara, 1999: 77-79.
[77]
Benner S J, Perez G, Peters D W, Hue K, O’Hagan P. Reduction of CMP-induced wafer defects through in-situ removal of process debris. In Advanced Semiconductor Manufacturing Conference (ASMC), 2011 22nd Annual IEEE/SEMI. IEEE, 2011: 1-4.
DOI
[78]
Suratwala T, Wong L, Miller P, Feit M D, Menapace J, Steele R, Davis P, Walmer D. Sub-surface mechanical damage distributions during grinding of fused silica. J Non-cryst Solids 352(52-54):5601-5617 (2006)
[79]
Lawn B. Fracture of Brittle Solids. New York (USA): Cambridge university press, 1993.
DOI
[80]
Hutchings I M. Tribology: Friction and Wear of Engineering Materials. London (UK): Butterworth-Heinemann Ltd., 1992.
DOI
[81]
Suratwala T, Steele R, Feit M D, Wong L, Miller P, Menapace J, Davis P. Effect of rogue particles on the sub- surface damage of fused silica during grinding/polishing. J Non-cryst Solids 354(18):2023-2037 (2008)
[82]
Greenwood J A. The area of contact between rough surfaces and flats. J Lubr Technol Trans ASME 89:81 (1967)
[83]
Greenwood J A, Williamson J B P. Contact of nominally flat surfaces. Proc R Soc Lond A 295(1442):300-319 (1966)
[84]
Saka N, Eusner T, Chun J H. Nano-scale scratching in chemical-mechanical polishing. CIRP Ann Manuf Technol 57(1):341-344 (2008)
[85]
Johnson K L. Contact Mechanics. New York (USA): Cambridge university press, 1987.
[86]
Suh N P. Tribophysics. New Jersey (USA): Prentice-Hall, Englewood Cliffs, 1986.
DOI
[87]
Maugis D, Pollock H M. Surface forces, deformation and adherence at metal microcontacts. ACTA Metall 32(9):1323-1334 (1984)
[88]
Saka N, Eusner T, Chun J H. Scratching by pad asperities in chemical-mechanical polishing. CIRP Ann Manuf Technol 59(1):329-332 (2010)
[89]
Chandra A, Karra P, Bastawros A F, Biswas R, Sherman P J, Armini S, Lucca D A. Prediction of scratch generation in chemical mechanical planarization. CIRP Ann Manuf Technol 57(1):559-562 (2008)
[90]
Ball R C, Weitz D A, Witten T A, Leyvraz F. Universal kinetics in reaction-limited aggregation. Phys Rev Lett 58(3):274-277 (1987)
[91]
Che W, Guo Y, Chandra A, Bastawros A. A scratch intersection model of material removal during chemical mechanical planarization (CMP). J Manuf Sci Eng 127(3):545-554 (2005)
[92]
Gao C, Kuhlmann-Wilsdorf D, Makel D D. Fundamentals of stick-slip. Wear 162-164(PART B):1139-1149 (1993)
[93]
Gao C, Kuhlmann-Wilsdorf D, Makel D D. The dynamic analysis of stick-slip motion. Wear 173(1-2):1-12 (1994)
[94]
Zhang S L, Li J C M. Slip process of stick-slip motion in the scratching of a polymer. Mater Sci Eng A 344(1-2):182-189 (2003)
[95]
Kim H J, Yang J C, Yoon B U, Lee H D, Kim T. Nano-scale stick-slip friction model for the chatter scratch generated by chemical mechanical polishing process. J Nanosci Nanotech 12(7):5683-5686 (2012)
Publication history
Copyright
Rights and permissions

Publication history

Received: 14 June 2013
Revised: 09 August 2013
Accepted: 01 September 2013
Published: 14 November 2013
Issue date: December 2013

Copyright

© The author(s) 2013

Rights and permissions

This article is published with open access at Springerlink.com

Open Access: This article is distributed under the terms of the Creative Commons Attribution License which permits any use, distribution, and reproduction in any medium, provided the original author(s) and source are credited.

Return